Verilog output stuck on default adder

Hi, so I’ve created a verilog project and the code runs without errors - however the only thing that comes up is the output for the default adder when you first start the project. Any advice?

Could you please provide a repl link (Not invite) and explain your issue more clearly?